Saus rahasia ASML untuk kesuksesan semikonduktor di tengah tantangan di Era Angstrom, Berita Bisnis

TAIPEI, 22 Mei 2024 /PRNewswire/ — Hukum Moore tidak maju secepat dulu di bawah 2nm dan bahkan ke tingkat Angstrom, dan para pesaing berusaha mengejar ketinggalan. Dapatkah ASML, pembuat peralatan semikonduktor Belanda, melanjutkan kepemimpinan monopolistiknya di pasar peralatan litografi ultraviolet ekstrim (EUV)?

Akankah mesin EUV NA tinggi paling canggih di dunia menjadi senjata pamungkas untuk lompatan teknologi bagi pelanggannya? Dan bagaimana ketidakpastian geopolitik akan mengubah strategi ASML di masa depan?

G. Dan Hutcheson, wakil ketua TechInsights, yang telah meliput ASML sejak tahun 1970-an, ketika masih merupakan anak perusahaan Philips, dan Marc Hijink, penulis Focus – the ASML Way dan seorang reporter di surat kabar Belanda NRC, bergabung dengan DIGITIMES Asia untuk pembicaraan mendalam untuk menjawab pertanyaan-pertanyaan ini.

Menavigasi ketidakpastian geopolitik

Meskipun ASML dan penyedia peralatan semikonduktor lainnya mendapat manfaat dari permintaan yang didorong oleh kontrol ekspor yang diberlakukan oleh Amerika Serikat ke Tiongkok, geopolitik mungkin memiliki implikasi jangka panjang pada industri karena kapasitas dan ekosistem ekstra dibangun.

Hutcheson dan Hijink melihat ASML mengikuti jejak pelanggan mereka untuk mendesentralisasikan produksi mereka dari koridor Pasifik Barat ke Amerika Serikat dan Eropa. Namun, efisiensi operasi luar biasa pelanggannya mungkin menjadi perhatian.

“Kami berada di dunia baru di mana pemanfaatan alat lebih rendah,” kata Hutcheson, “Masalahnya adalah, jika Anda tidak dapat menemukan pekerja untuk menjalankan alat atau memperbaikinya, biarkan mereka tetap berjalan, fab wafer Anda tidak berguna. “

Hijink mengamati bahwa Asia akan tetap menjadi pusat penting untuk produksi chip di masa depan meskipun ada upaya onshoring dari berbagai negara dan masalah kekurangan bakat karena Korea Selatan dan Taiwan tetap menjadi bagian penting dari ekspansi ASML.

Status monopoli ASML tidak mungkin ditantang

Namun, Hijink memperingatkan, “Ketakutan terbesar ASML adalah bahwa pembatasan saat ini pada teknologi China bahkan mungkin merangsangnya dalam jangka panjang dan menciptakan masalah yang lebih besar.” Dia menunjukkan bahwa semakin sedikit China mendapatkan akses ke alat litografi Barat ini, semakin banyak perusahaan China cenderung membangun sendiri. “Meskipun mereka mungkin tidak dapat bersaing dengan ASML dan Nikon sekarang, Anda melihat lebih banyak ruang untuk pertumbuhan dan uang R&D dalam jangka panjang.”

Namun, Hutcheson percaya tidak ada perusahaan yang dapat menantang monopoli litografi ASML tanpa subsidi pemerintah yang besar. “Lima dekade lalu, saya mengembangkan pepatah ini bahwa jumlah pesaing yang tidak disubsidi yang dapat dipertahankan pasar sama dengan 1/5 total sie pasar dibagi dengan biaya untuk mengembangkan teknologi generasi baru, dan kemudian Anda mengurangi 1,5 kali biaya itu,” kata Hutcheson. “Untuk menarik pesaing lain di pasar, bar risiko harus cukup rendah sehingga ada cukup R&D yang tersedia untuk mendukung pengembangan setidaknya 1,5 alat lebih banyak daripada yang ada di pasar pada waktu itu.”

Selama lima dekade itu, maksimum itu dipegang di 100, atau ada lebih banyak sub-pasar peralatan semikonduktor, dan itu menjelaskan konsolidasi pasar pasar dari lebih dari 20 pembuat peralatan semikonduktor pada 1980-an menjadi hanya segelintir sekarang untuk litografi, jelas Hutcheson.

Sebagai veteran industri semikonduktor, Hutcheson menyaksikan bagaimana ASML bertahan selama empat dekade terakhir melalui manajemen dan pengembangan teknologi yang lebih baik. Hijink menambahkan bahwa ASML bertahan karena berinvestasi dalam R&D selama siklus rendah meskipun berada di ambang kebangkrutan beberapa kali dan dengan cerdik mengundang pelanggannya TSMC, Intel, dan Samsung untuk berinvestasi di dalamnya dan bersama-sama mengembangkan mesin EUV.

Dengan mendapatkan investasi, ASML berhasil mendapatkan uang untuk mengakuisisi Cymer, perusahaan sumber cahaya yang berbasis di San Diego, dan membangun aliansi strategis dengan eiss, pembuat lensa Jerman. Komponen utama dan teknologi e-beam tersebut menciptakan saus rahasia kesuksesan ASML yang tidak dapat ditiru oleh pesaing.

Namun, mengulangi kesuksesan seperti itu semakin sulit. “Tidak ada satu perusahaan pun yang mampu melakukannya sendiri, karena R&D menjadi semakin mahal,” Hutcheson mengutip John Chen dari Nvidia, yang merupakan presiden R&D pertama di TSMC, bahwa, “IC tidak lagi berarti sirkuit terpadu ke depan, IC berarti kolaborasi industri.”

Hutcheson memperkirakan bahwa biaya pengembangan alat EUV baru dari awal akan membutuhkan beberapa triliun dolar, yang sama dengan Produk Domestik Bruto untuk beberapa negara, dan itu dengan asumsi bahwa seseorang mengatasi semua hambatan IP di tempat. “Sampai batas tertentu, ini meratakan lapangan bermain geopolitik. Jadi mungkin EUV harus menerima Nobel Perdamaian Prie karena tidak ada negara yang dapat menyerang negara lain kecuali mereka memiliki EUV,” sindir Hutcheson.

Bisakah mesin NA tinggi membantu lompatan teknologi?

Karena Intel, Samsung, dan TSMC bertujuan untuk memproduksi chip 2nm secara massal dalam beberapa tahun ke depan dan maju menuju 1,4-1,6 nm, EUV NA tinggi ASML menjadi berita utama surat kabar industri semikonduktor.

Seperti biasa, ASML mengirimkan mesin High-NA senilai US $ 300 juta kepada pelanggan sesuai dengan jadwal yang disepakati dengan pelanggan dan melakukan penyesuaian dan koreksi kesalahan yang melelahkan dengan pelanggan. Media telah menggambarkan EUV NA tinggi sebagai kunci untuk teknologi catch-uppers untuk melompati petahana. Namun, Hutcheson menggali secara mendalam seluk-beluk yang terlibat dalam proses kompleks pembuatan semikonduktor di luar litografi.

“Ada banyak masalah teknologi di era 2nm dan 1.4nm. Dan kita harus melihat apakah mereka dapat melakukannya dan mengubahnya menjadi keuntungan,” Hutcheson menjelaskan bahwa litografi bukan satu-satunya faktor yang dipertaruhkan, ada juga masalah dengan struktur gate-all-around (GAA), karena masalah kebocoran dengan itu. “Ada juga masalah keandalan, dan lapisan epitaxial sangat buruk. Jadi sungguh, ada lebih banyak masalah rekayasa material dan sistem daripada masalah litografi.”

Meskipun Intel tampaknya telah membeli semua mesin NA tinggi yang tersedia untuk tahun ini, TSMC tidak terburu-buru untuk menerapkannya pada proses 1.4-1.6nm-nya. Namun, ASML mengatakan semua pelanggan mereka yang telah membeli EUV akan meningkatkan ke EUV NA Tinggi.

“Saya pikir Intel harus berbuat lebih banyak, mencoba melompati TSMC, yang merupakan langkah berani, tapi itu hanya satu bagian dari tantangan Intel,” kata Hijink. “Tantangan terbesar mereka bukanlah teknologi, tetapi fakta bahwa mereka ingin melakukan bisnis pengecoran karena mereka harus menciptakan jejak wafer yang cukup atau skala yang cukup untuk menjadi layak secara ekonomi dengan pesaing besar seperti Samsung dan TSMC. Jadi itu menambah masalah mengadopsi teknologi baru di mana mereka tidak berpengalaman. “

Hutcheson memperingatkan bahwa masih terlalu dini untuk menilai hasil kompetisi teknologi. Namun melihat dari sejarah industri semikonduktor, penelitian selama puluhan tahun, darah, keringat, dan air mata, dan memperbaiki banyak kesalahan, ditambah membuat keputusan yang tepat pada waktu yang tepat dan membangun kepercayaan yang mendalam dengan pelanggan menjadikan ASML dan TSMC seperti sekarang ini.

ASML, perpaduan budaya Eropa, Asia, dan Amerika sebagai hasil akuisisi, dapat menjadi guru yang hebat bagi TSMC, yang baru mulai memperluas jejaknya ke AS dan Eropa. Dengan ikatan yang erat antara keduanya, dengan AI menjadi kekuatan pendorong utama untuk pertumbuhan semikonduktor di masa depan, orang dapat yakin tidak akan ada cerita menarik di masa depan.

Catatan editor: Untuk wawasan dan analisis lebih lanjut dari Hutcheson dan Hijink tentang industri ini, tonton video GeoWatch baru DIGITIMES Asia, ‘Decoding Excellence: ASML’s Evolution Towards Leadership and Beyond.’ Klik tautan di sini untuk mengakses video informatif ini: https://dgt.ms/geowatch0516

Leave a Reply

Your email address will not be published. Required fields are marked *